Oprogramowanie vhdl jobs

Filter

My recent searches
Filter by:
Budget
to
to
to
Type
Skills
Languages
    Job State
    2,000 oprogramowanie vhdl jobs found, pricing in USD

    I am looking for a skilled ARM embedded freelancer to assist with ...of the Embedded System: - Personal project Hardware and Software Requirements: - I have specific requirements Ideal Skills and Experience: • Good grasp of fundamentals in Electronics Engineering, • Knowledge of digital electronics, VLSI, microprocessor architecture is a plus • Interest and experience in digital design and verification • Good understanding of Assembly-level programming, Verilog/VHDL • Proficient in C/C++, and scripting languages - Strong knowledge and experience in ARM microcontroller programming - Proficiency in real-time operating system (RTOS) development - Expertise in embedded system design and implementation - Familiarity with the specific hardware and software req...

    $28 (Avg Bid)
    $28 Avg Bid
    8 bids

    I am looking for a skilled VS code extension developer who can create a language support extension for VHDL/Tcl. The ideal candidate should have experience in developing VS code extensions and have a strong understanding of external tasks. Requirements: - Proficiency in developing VS code extensions - Familiarity with the VS code extension development process - Ability to create a language support extension We have a language support extension already, that support VHDL and Tcl programming language. we need support to add tasks to the extension, to call external scripts. more details in following communications

    $115 (Avg Bid)
    $115 Avg Bid
    12 bids

    Task description. we have a fork of a VScode extension which we customized (modifying snippets and some minor modification) This should be extended, we want to create an extension to be used internally to the company by every FPGA designer the extension shall support VHDL and Tcl (snippets and language syntaxes files are there already) Add Tcl language support (, ) but we would like to add the capability to call external Tcl scripts, right clicking on a vhd file in the Explorer Tab

    $47 (Avg Bid)
    $47 Avg Bid
    2 bids

    I am looking for a skilled VS code extension developer who can create a language support extension for VHDL/Tcl. The ideal candidate should have experience in developing VS code extensions and have a strong understanding of external tasks. Requirements: - Proficiency in developing VS code extensions - Familiarity with the VS code extension development process - Ability to create a language support extension We have a language support extension already, that support VHDL and Tcl programming language. we need support to add tasks to the extension, to call external scripts. more details in following communications

    $221 (Avg Bid)
    $221 Avg Bid
    17 bids

    Hi Edgar G., We need a tcl script to create block design from VHDL, FSM representation with states and transitions (with conditions), and process interconnection. This will be needed for documantation of VHDL projects consider splitting the diagram in sub diagrams for very dense diagrams, where the readability will be compromised byt the vast number of blocks and connections. the output shall be in an editable format.

    $537 (Avg Bid)
    $537 Avg Bid
    1 bids

    Online forum dissemination and flyers The goal of this task is to "disseminate" our products and solutions on the web example: lets suppose we have our "axi register vhdl generator" module which generates VHDL module and package, C header and function to read/write registers, and html documentation. All this automatically from a tcl script or a web interface We would need to search on the web (in forum for instances) people looking for that thing and reply, sending a message, with the link to our solution This should be done, possibly, automatically, to capture the message as soon as it is published and reply immediately (replying after 2 years is not good, though it is still valid as the link will still be present and someone might go to serach and ...

    $5 / hr (Avg Bid)
    $5 / hr Avg Bid
    12 bids

    I am in need of an FPGA VHDL expert for a design and implementation project. Skills and experience required: - Expertise in FPGA VHDL design and implementation - Strong knowledge of digital design and verification - Proficiency in troubleshooting and debugging FPGA designs Successful freelancers should include their experience in their application, showcasing their past work and relevant projects they have worked on. The desired turnaround time for the project is 2-4 weeks.

    $168 (Avg Bid)
    $168 Avg Bid
    11 bids

    Project Description: I am looking for an experienced FPGA developer to implement a PL UART communication module on a Zynq FPGA. The project requires the following skills and experience: - FPGA development experience, specifically with Zynq FPGAs - Knowledge of UART communication protocols - Proficiency in HDL programming languages such as Verilog or VHDL - Ability to implement custom baud rates for UART communication - Experience with interrupt handling in FPGA designs - Strong understanding of intermediate level communication requirements The main objectives of the project are: - Implementing a PL UART module on a Zynq FPGA - Supporting selectable baud rates for UART communication - Triggering an interrupt after a successful transmission - Ensuring reliable and efficient communi...

    $166 (Avg Bid)
    $166 Avg Bid
    5 bids

    I need an experienced programmer to write FPGA test code for an upc...am using. As this project requires moderate complexity, it is essential that the person I choose has a sound knowledge and understanding of FPGA programming. The code I am looking for is interface testing code for Audio IC (Audio Codac Part No: ADAU1761) with FPGA. Problem Statement:- We have to test the Audio interface of our customized FPGA board(FPGA PART No: XC7K325T-2FFG676I), so we need a VHDL/Verilog code for Audio IN/Out. Means, when we give input from Mic in audio in, same will be transferred to Audio out which we will hear from speaker. If you think you have the qualifications, tools and knowledge necessary to craft the code, please do not hesitate to bid on the project. I look forwa...

    $438 (Avg Bid)
    $438 Avg Bid
    9 bids

    FPGA routes data between image sensor, ARM, display controller and usb controller. Initially designed using Lattice ICE40, but moved to Xilinx S7. Need help getting prototype up.

    $48 / hr (Avg Bid)
    Local NDA
    $48 / hr Avg Bid
    3 bids

    I am an EE engineer. I have lots of experience designing both a...language and C/C++ (both procedural and OOP). *for STM and nrf controllers Mbed OS could be one of the choices for programming the MCU. I have done lots of projects in the field of wireless communication and IoT using different wireless communication protocols like BLE, RF, WiFi (Cloud), etc. In the field of bit streaming, high-speed processing and ML, I am able to program both Xilinx and Altera in VHDL or C/C++ for Microblaze or NIOS II processors. For manufacturing purposes, I can provide component selection and BOM which suits your needs for a durable, efficient, and effective design. ABOUT YOUR PROJECT, I have done lots of similar projects before and can handle your project easily. We may discuss it more over cha...

    $1860 (Avg Bid)
    $1860 Avg Bid
    1 bids

    We are looking for a skilled developer to create a webpage for code generation. The ideal candidate should have experience in web application, web design, and web development. The webpage will be used to generate VHDL modules for FPGA. The user will input certain parameters, and the webpage will output the corresponding code. The interface needs to be user-friendly and easy to navigate. We want to be able to add new modules, and the background code generator is already developed by us (Tcl scripts). The candidate shall propose a way to interface between the webpage and the Tcl script that generates the code. To apply for the position, please submit a detailed proposal outlining your experience and how you can help with the project. Please include links to past completed projects ...

    $511 (Avg Bid)
    $511 Avg Bid
    109 bids

    We need support for TCL scripting, FPGA projects. Tcl sripts will be used to compile and simulate VHDL code, synthesize, P&R and analyze reports

    $37 / hr (Avg Bid)
    $37 / hr Avg Bid
    12 bids

    Campera Electronic Systems is planning to introduce a Verification methodology in the company verification flow for VHDL designs. Previous experience on one of the "standard" VHDL verification methodologies (UVVM, OSVVM) or framework (Vunit) is requied.

    $32 / hr (Avg Bid)
    $32 / hr Avg Bid
    7 bids

    I am seeking a VHDL expert to help me implement a control system using VHDL. The purpose of this project is to implement a control system, and the specific type of control system has not been specified. The ideal candidate will have experience with VHDL and control systems, and be able to work efficiently and accurately. Please provide examples of previous VHDL projects you have worked on.

    $19 (Avg Bid)
    $19 Avg Bid
    3 bids

    I am seeking a technical writer and system improvement expert to help me with my project. Specifically, I need help with improving the software aspect of the system which includes C, Vivado, Python, and Ethernet. The ideal candidate should have experience with VHDL and ZedBoard at an intermediate level. The following skills and experience are required for this project: - Technical writing for system documentation - Knowledge of software (C, Vivado, Python, Ethernet) - Intermediate experience with ZedBoard and VHDL If you possess the above skills and experience, please apply for this project.

    $142 (Avg Bid)
    $142 Avg Bid
    11 bids

    I am seeking a VHDL expert to help me implement a control system using VHDL. The purpose of this project is to implement a control system, and the specific type of control system has not been specified. The ideal candidate will have experience with VHDL and control systems, and be able to work efficiently and accurately. Please provide examples of previous VHDL projects you have worked on.

    $35 (Avg Bid)
    $35 Avg Bid
    3 bids

    I am looking for an experienced Verilog or VHDL engineer to help me explain and design Number theoretic transform (NTT) which is the most efficient method for multiplying two polynomials of high degree with integer coefficients, using FPGA. The project has specific requirements and I will provide detailed specifications. The desired implementation platform is Xilinx FPGA using Vivado and the deadline for the project is 1-2 weeks. Ideal skills and experience for the job include Verilog or VHDL programming, FPGA design, and NTT knowledge.

    $226 (Avg Bid)
    $226 Avg Bid
    11 bids

    how to program a microcontroller to read the room temperature from a sensor and control a DC motor, which is connected to a fan and also required to design a random access memory device in VHDL for the microcontroller.

    $138 (Avg Bid)
    $138 Avg Bid
    13 bids

    Implementation of a Moore finite state machine with 2 - 4 D-FlipFlops simulating a control system. Design.v and testbench.v needed.

    $128 (Avg Bid)
    $128 Avg Bid
    21 bids

    We are seeking a VHDL FPGA programmer to develop a program for data encryption and decryption with a high level of security. The ideal candidate should have experience in VHDL programming, FPGA design, and encryption/decryption algorithms. Functionality: - The program should provide high-security data encryption/decryption that meets the client's requirements. Encryption/Decryption algorithms: - The client needs suggestions for encryption/decryption algorithms that meet their high-security requirements. The ideal candidate should have experience in suggesting and implementing secure encryption/decryption algorithms. Level of security: - The client requires a high level of security for the encryption/decryption process. The ideal candidate should have experience i...

    $6104 - $12208
    Urgent Sealed NDA
    $6104 - $12208
    3 bids

    I am looking for an experienced freelancer to work on an FPGA based project. The main goal of this project is performance optimization, and I am looking for someone with experience using the Xilinx platform, and coding in VHDL. I am looking for someone who can ensure that the project turns out as expected and meets all my requirements. Additionally, I would like the outcome of this project to have a positive impact on my organization's performance. The freelancer I choose must have in-depth and up-to-date knowledge of the FPGA architecture as well as memory control, interfaces, and system design. He/she should also possess excellent programming experience and be able to provide detailed reports and documentation in a timely manner. Moreover, I need assurance that this project...

    $382 (Avg Bid)
    $382 Avg Bid
    5 bids

    Expand on the design of a single-cycle RV32I processor core called Archer, which implements most instructions of the RV32I base integer instruction set. Your the task will be to pipeline the processor and add hardware support for data forwarding and hazard detection.

    $177 (Avg Bid)
    $177 Avg Bid
    11 bids

    Project for a simple security system design in System Verilog code, design and testbench.

    $167 (Avg Bid)
    $167 Avg Bid
    22 bids

    I have a task in VHDL looking for VHDL code to control Epson printhead.

    $112 (Avg Bid)
    $112 Avg Bid
    4 bids

    I'm looking for a VHDL 1st-in 1st-out (FIFO) project to be completed. I need a Verilog code to complete the FIFO example. Also, syntax is very important, therefore, I am attaching an example (LIFO) to illustrate the syntax.

    $22 (Avg Bid)
    $22 Avg Bid
    4 bids

    I need to use GitLab CI to check for students' work assignment (language VHDL (run with GHDL) if that matters). The idea is that I have a "secret" testbench, which is compiled with student's code (submitted as git commit to GitLab), and the job needs to check if the submission passes the test. There must be zero possibility for the student to misuse the GitLab to get access to the secret code. Preferably the secret code is stored and run in a separate computer (than gitlab-runner). Deliverables: - Description how the objectives are met - Installation instructions (if any) - Example project with CI pipeline - Source codes of customized scripts / code (C or C++ allowed). Please note: - GitLab CI allows to run arbitrary code in the CI-job, thus the student wi...

    $543 (Avg Bid)
    $543 Avg Bid
    13 bids

    Campera Electronic Systems is planning to introduce a Verification methodology in the company verification flow for VHDL designs. Previous experience on one of the "standard" VHDL verification methodologies (UVVM, OSVVM) or framework (Vunit) is requied.

    $53 / hr (Avg Bid)
    $53 / hr Avg Bid
    9 bids

    ...a project in the labs during the 9th to 13th week of the semester. The practical demonstration will take place last week. Using BUT e-learning, students submit a link to the GitHub repository, which contains the project in Vivado, the necessary images, documents and a descriptive README file. The submission deadline is the day before the demonstration. The FPGA source codes must be written in VHDL and implementable on the Nexys A7-50T board in the development tools used in the laboratory during the semester. Make testbenches for all your new components. Physical implementation on FPGA is necessary, computer simulation is not sufficient. Never, ever use rising_edge or falling_edge to test edges of non-clock signals under any circumstances! In a synchronous process, the first...

    $51 (Avg Bid)
    $51 Avg Bid
    5 bids

    I'm looking for an expert in VHDL and Quartus II from Pakistan to design a specific digital system of intermediate complexity. The ideal freelancer will have experience in designing digital systems using VHDL and Quartus II.

    $176 (Avg Bid)
    $176 Avg Bid
    3 bids

    I need a code in VHDL for a custom IP to communicate with the DDR4 MIG, it can be through a DMA block with FIFO over the AXI bus. Everything must be done on the PL side, and must have the basic functions of writing and reading a data at a memory address. The code will be tested on the ZCU104.

    $106 (Avg Bid)
    $106 Avg Bid
    4 bids

    This is a project where you will use a DE 10 Standard Board to detect audio data. It should detect snaps and under instructions, look at ideas in the instruction areas, there are highlighted other functions there. The files and everything else necessary to complete to complete the poject are in this google drive. Thank you.

    $540 (Avg Bid)
    $540 Avg Bid
    5 bids

    using Artix 7 implement Master UFS protocol design for the UFS Host device, Feel free to contact who's have experince on Stroage's(emmc, ufs, nand e.t.c) Skills required : Verilog , VHDL , C

    $35833 (Avg Bid)
    $35833 Avg Bid
    6 bids

    printer coding vhdl coding zynq 7020

    $1366 (Avg Bid)
    $1366 Avg Bid
    3 bids

    Embedded Linux with FPGA capability. From VHDL to application level programming.

    $42 / hr (Avg Bid)
    $42 / hr Avg Bid
    13 bids

    Looking for FPGA Developer who has experience in VHDL on SoC FPGA architecture

    $143 (Avg Bid)
    $143 Avg Bid
    16 bids

    I’m looking for a talented freelancer to help me design a LIN Bus controller FPGA, in VHDL. To be considered for the job, candidates should include past work in their application and provide relevant experience related to this project. Any working code previously developed is a plus. Deadline for the delivery 20th April 2023. A quotation is required, together with the proof of previous expertise of the working code already developed It will be required to 1. deliver VHDL source code for LIN master bus controller 2. testbench with a Verification module, or any other sort of mechanism to emulate a node 3. Integration and testing of a simple test code on hardware provided by us 4. documentation

    $1310 (Avg Bid)
    $1310 Avg Bid
    22 bids

    Europe, Italy timezone preferred. Lead the activity for porting FPGA design to Silicon technology (memory replacement, ...) Carry on simulations...porting FPGA design to Silicon technology (memory replacement, ...) Carry on simulations of the updated RTL design to check that the functionality remains unchanged Execute static and formal verification of RTL code using appropriate tools Run trial synthesis on the RTL design and check the timing violations Lead the activities for SoC sub-block Static Timing Analysis. Required Skills (expert): VHDL language Digital ASIC design flow Use of digital simulations with standard industry simulators (Mentor QuestaCore) Static and formal RTL verification (e.g. Synopsys Spyglass) Synthesis tools (e.g. Cadence Genus) UVM and System Verilog test...

    $20 - $40 / hr
    Sealed NDA
    $20 - $40 / hr
    8 bids

    Program the Basys 3 using the Cordic IP Integrator to generate: the hyperbolic sine and hyperbolic cosine of an angle parameters: You must enter the angle in degrees using the switches, so that the vhdl code includes its respective conversion to radians. This angle should be shown on the 7 segment displays. Pressing btnu the displays should then show the (hyperbolic sine) of the entered angle, and pressing btnd should show the (hyperbolic sine) of the angle.

    $30 (Avg Bid)
    $30 Avg Bid
    14 bids

    The company is searching for external collaborators to design and test a Video test pattern generator in VHDL. The module shall be configurable for different pixel bit, num,ber of pixel per clock, different pattern generated, resolution, frame rate, colour format, video output sequence

    $586 (Avg Bid)
    $586 Avg Bid
    17 bids

    1)Using VHDL and the Xilinx Vivado Tools, design and implement a 4-bit ALU whose functionality is compliant with the TTL MSI 74LS381A specifications. The design must use a VHDL behavioral modeling coding style and can include concurrent and sequential statement types. Source code modules must include liberal commenting to clarify and explain function and operation your code. 2) Create a test bench VHDL module and use the Vivado Simulator to test/verify proper operation of the ALU’s functions with all input data patterns specified in the 74LS381A functional table. Recommendation: to make comparison of simulated results to those listed in the functional table easier, apply the external stimulus input patterns in the same “row” order as inputs are listed...

    $68 (Avg Bid)
    $68 Avg Bid
    13 bids

    DO-254 Project - Task - Lint and Code coverage

    $181 (Avg Bid)
    $181 Avg Bid
    1 bids

    I want someone who understands FPGA, Vivado, Verilog, VHDL etc for a report

    $73 (Avg Bid)
    $73 Avg Bid
    6 bids

    Hi, How are you doing. I am looking for electrical engineers to work on multiple tasks in following areas: • Embedded C Programming. • VHDL/Verilog, LABView/ Multisim/PSPICE • Network Simulator NS2/NS3 • Microcontroller like Arduino, Raspberry Pi, FPGA, AVR, PIC and STM32. • IDEs like Keil MDK V5, ATmel studio and MPLab XC8. • PLCs / SCADA • PCB Designing-Proteus, Eagle. • IOT Technologies like Ethernet, GSM GPRS. • HTTP Restful APIs connection for IOT Communications. I am looking for long term work relationship. New freelancers are warmly welcomed. Important Note: I need dedicated freelancers who strictly follow the deadline and give me good quality work without any plagiarism.

    $149 (Avg Bid)
    $149 Avg Bid
    42 bids

    I am looking to hire an individual who really understand this subject. should be able to solve any problems related to this subject. communicate and be able to write good programming and simulation designs.

    $140 (Avg Bid)
    $140 Avg Bid
    17 bids

    ...this project students are asked to implement a an XTEA Encryption/Decryption VHDL Engine, implemented in both C code and VHDL code. It supposed to be built as a custom hardware module and be interfaced to the NIOS II soft processor in the Alter- Intel Cyclone V FPGA chip [De-10Nano board]. The HDL code implements 2 number of pins: first an input from stdr_logic_vector type form of 32-bit length, and second an output with 32-bit of the same type. The Key is 32-bit in length, and they must be stored inside the VHDL code. The input reception and output generation may take multiple clock cycles or states but could be designed in less than that if was applicable. The internet could be surfed to lookup codes for both C and VHDL but the group is responsible to conve...

    $492 (Avg Bid)
    $492 Avg Bid
    16 bids

    The VHSIC Hardware Description Language (VHDL) is a hardware description language (HDL) that can model the behavior and structure of digital systems at multiple levels of abstraction, ranging from the system level down to that of logic gates, for design entry,

    $45 (Avg Bid)
    $45 Avg Bid
    11 bids

    We need a VHDL designer with expertise on video processing codec.

    $32 / hr (Avg Bid)
    $32 / hr Avg Bid
    16 bids

    Hello can you help me with this project it’s going to be similar to lab 4 that I have attached. I have attached the project pdf too ( 193.22 KB) this one Division.c #include "system.h" #include "altera_avalon_pio_regs.h" #include <stdio.h> /* register offset definitions */ #define DVND_REG_OFT 0 // dividend register address offset #define DVSR_REG_OFT 1 // divisor register address offset #define STRT_REG_OFT 2 // start register address offset #define QUOT_REG_OFT 3 #define REMN_REG_OFT 4 #define REDY_REG_OFT 5 #define DONE_REG_OFT 6 /* main program */ int main () { alt_u32 a, b, q, r, ready, done; printf("Division accelerator test #2: nn"); while (1){ printf "Perform division a / b = q remainder rn"); printf("En...

    $620 (Avg Bid)
    $620 Avg Bid
    12 bids