Find Jobs
Hire Freelancers

VHDL tasks

$10-30 USD

Closed
Posted almost 5 years ago

$10-30 USD

Paid on delivery
I have some simple VHDL tasks. My deadline is tomorrow. 1. Suggest a structural and behavioral description of a bidirectional cyclic shift register. 2. Suggest a structural and behavioral description of a bidirectional arithmetic shift register. Use parallel generation operators and configuration options. 3. Create a subroutine that performs the conversion between the integer and bit_vector types. Create an object and an architectural body to check this feature. 4. Create a package that declares one constant and one function, and its body. Save the package and its body in some library. Demonstrate the use of the library and use context statement operators to use the content of the package without a prefix. 5. Consider the following code: library ieee; use [login to view URL]; package config is type type1 is record f1 : std_logic_vector(31 downto 0); f2 : std_logic_vector(3 downto 0); end record; type type2 is record f1 : std_logic_vector(31 downto 0); f2 : std_logic_vector(3 downto 0); end record; end config; library ieee; use [login to view URL]; use [login to view URL]; entity Swap_1 is port (Data1 : type1; Data2 : type2; sel : STD_LOGIC; Data1Swap : out type1; Data2Swap : out type2); end Swap_1; architecture Behave of Swap_1 is begin Swap: process (Data1, Data2, sel) begin case sel is when '0' => Data1Swap <= Data1; Data2Swap <= Data2; when others => Data1Swap <= Data2; Data2Swap <= Data1; end case; end process Swap; end Behave; Compile this code. What is the problem? Suggest a fix. Now write a testbench and test your code. Have you considered all possibilities? 6. Fix the bugs and simulate the following model: entity UpDownCount_Bad is port( clock, reset, up: STD_LOGIC; D: STD_LOGIC_VECTOR (7 to 0)); end UpDownCount_Bad; architecture Behave of UpDownCount_Bad is begin process (clock, reset, up); begin if (reset = '0') then D <= '0000000'; elseif (rising_edge(clock)) then if (up = 1) D <= D+1; else D <= D-1; end if; end if; end process; end Behave; 7. Create a function and procedure on the following declaration and check their work: function Is_X_Zero (signal X : in BIT) return BIT; procedure Is_A_Eq_B (signal A, B : BIT; signal Y : out BIT); 27. Please create and check the architecture of the multiplier bodies according to the upcoming description of the object entity Mult8 is port ( A, B : STD_LOGIC_VECTOR(3 downto 0); Start, CLK, Reset : in STD_LOGIC; Result : out STD_LOGIC_VECTOR(7 downto 0); Done : out BIT); end;
Project ID: 19446192

About the project

4 proposals
Remote project
Active 5 yrs ago

Looking to make some money?

Benefits of bidding on Freelancer

Set your budget and timeframe
Get paid for your work
Outline your proposal
It's free to sign up and bid on jobs
4 freelancers are bidding on average $26 USD for this job
User Avatar
Dear sir I have more than 10 years experience in digital design using vhdl please message me so that we can discuss Best regards
$45 USD in 1 day
4.9 (407 reviews)
7.8
7.8
User Avatar
I am an Electrical Engineer with a masters degree I have high proficiency in Electrical Engineering, HVAC, LTE system model, Thermal system design, FPGA, Verilog / VHDL, Matlab/ Simulink, Microcontroller, Modeling, optimization, simulation of building energy systems: PCB Layout, Arduino and have been working on Industrial Automatics and Electronics. My fields of interest are Schematic design, Prototyping, PCB Design, Development of Electronic systems, Firmware and Software development. I would love to discuss this project with you and meet your exact requirements. I am confident I can provide you with top-notch materials that will fit your needs. Once you share the project, I will have a look & confirm if I can do it. I’m honest, creative and a unique person to carry out your tasks given to me. Leaving your project with me is a privilege; I will make sure that the project is done in a perfect way and do my very best until you are satisfied. I pride my work on being professional, of the highest quality and always delivered on time. Thanks in anticipation
$10 USD in 1 day
4.9 (8 reviews)
4.4
4.4
User Avatar
I am a VLSI Engineer cum Professor in reputed organization hands on experience on HDL'S[VHDL &Verilog] I can do this project work i have a more than 15+ years of experience on teaching and research papers solutions in addition that good at on Matlab & Simulink , Python COMSOL VHDL Verilog Spice, R software programming Numerical analysis with Mathematica, CFD Applications
$30 USD in 1 day
5.0 (3 reviews)
2.5
2.5
User Avatar
I'm an Electrical and Electronic engineer. And I'm a expert in digital logic designing. I can help you. Please contact me and give more details about the project thank you.
$20 USD in 1 day
5.0 (1 review)
1.3
1.3

About the client

Flag of UKRAINE
Kyiv, Ukraine
0.0
0
Member since May 5, 2019

Client Verification

Thanks! We’ve emailed you a link to claim your free credit.
Something went wrong while sending your email. Please try again.
Registered Users Total Jobs Posted
Freelancer ® is a registered Trademark of Freelancer Technology Pty Limited (ACN 142 189 759)
Copyright © 2024 Freelancer Technology Pty Limited (ACN 142 189 759)
Loading preview
Permission granted for Geolocation.
Your login session has expired and you have been logged out. Please log in again.