Find Jobs
Hire Freelancers

I need your help in writing c code for a circuit

$10-11 USD

Closed
Posted over 8 years ago

$10-11 USD

Paid on delivery
I need your help in writing c code for chasing led circuit (VHDL) please check attached file for details can pay 10 $ and best review and need in an hour or 2
Project ID: 8440761

About the project

19 proposals
Remote project
Active 9 yrs ago

Looking to make some money?

Benefits of bidding on Freelancer

Set your budget and timeframe
Get paid for your work
Outline your proposal
It's free to sign up and bid on jobs
19 freelancers are bidding on average $20 USD for this job
User Avatar
Dear sir I have more than 8 years experience in digital design using vhdl please check my profile also please message me so that we can discuss
$15 USD in 0 day
5.0 (184 reviews)
7.2
7.2
User Avatar
A proposal has not yet been provided
$15 USD in 1 day
4.8 (70 reviews)
5.9
5.9
User Avatar
Hello! You know me I can help you in no time! Send me a message! Have a nice day! .
$10 USD in 0 day
4.9 (37 reviews)
5.1
5.1
User Avatar
A proposal has not yet been provided
$15 USD in 1 day
4.7 (14 reviews)
4.8
4.8
User Avatar
I had done MS in Engineering. Also Had 8+ years of experience in the field of programming using Verilog HDL and VHDL. I can do this task for you
$111 USD in 1 day
5.0 (13 reviews)
4.7
4.7
User Avatar
I can acomplish this work in few hours. I have 10+ years of experience in the same area. please let me know how to take this further ?
$15 USD in 1 day
4.7 (11 reviews)
4.2
4.2
User Avatar
Hello Sir, I will do it for you sir. I am new here and looking to start my career here. please give me a chance. Thank you
$29 USD in 1 day
5.0 (1 review)
1.6
1.6
User Avatar
Please I need clarification on this project. .
$10 USD in 1 day
0.0 (0 reviews)
0.0
0.0
User Avatar
I am an electrical engineer specialized in electronics and communication and also working as instructor in University.I have very good expertise in circuit designing , digital design, communication system etc. I am also Lab instructor in University and have conducted Labs of Circuit design, Digital design. I have also supervised many projects related to Electric and Electronics circuits, Digital design and microcontroller Based Circuits.
$25 USD in 1 day
0.0 (0 reviews)
0.0
0.0
User Avatar
A proposal has not yet been provided
$10 USD in 1 day
0.0 (0 reviews)
0.0
0.0
User Avatar
A proposal has not yet been provided
$15 USD in 1 day
0.0 (0 reviews)
0.0
0.0
User Avatar
If you pay me i will complete it.:) code for chasing LED board with 18 LED’s on board enity chase_circuit is port ( i_clk : std_logic, i_reset : std_logic, o_LED_ctl : std_logic_vector(17 downto 0) ); end entity; architecture of chase_circuit is signal led_ctl:std_logic_vector(17 downto 0); signal counter:std_logic_vector(15 downto 0); begin process(i_clk,i_reset) begin if(NOT(reset) == ‘1’) then counter <= x”FFFF”; --change this value to decide speed if you increase the value the L else if(i_clk’event and i_clk=’1’)then if(counter = 0)then counter <= x”FFFF”; --LEDs will move at a slow pace elsif(counter /= x”0000”) counter <= counter - ‘1’; end if; end if; end if; end process; process(i_clk,i_reset) begin if(NOT(reset) == ‘1’) then LED(17 downto 0) <= “100000000000000000” else if((counter = 0) && (direction == ‘0’))then LED(17 downto 0) <= ‘0’ && LED(17 downto 1); elsif((counter = 0) && (direction == ‘1’))then LED(17 downto 0) <= LED(16 downto 0) && ‘0’; else LED(17 downto 0) <= LED(17 downto 0); end if; end if; end process; process(i_clk,i_reset) begin if(NOT(reset)=’1’)then direction <= ‘0’; else if((counter = 0) && (LED(17 downto 0) = “000000000000000001”))then direction <=
$15 USD in 1 day
0.0 (0 reviews)
0.0
0.0
User Avatar
i have a good knowledge about microcontroller programming and have done number of projects.i will do your work easily and accurately.i will make a good function where you have to write what you want to send and specify the to whom you send.
$15 USD in 1 day
0.0 (0 reviews)
0.0
0.0
User Avatar
I have extensive knowledge on System C, Verilog and VHDL. I can do the job for you in an hour or two. I have worked on these projects before.
$10 USD in 1 day
0.0 (0 reviews)
0.0
0.0
User Avatar
I have done it using altera de0 and could do it again with DE1.
$20 USD in 1 day
0.0 (0 reviews)
0.0
0.0
User Avatar
Hi.........I m a VLSI trainer in govt of india authorized center. I hope can do this within a less period of time. Hope to get a reply from u as soon as possible. Thank u
$12 USD in 1 day
0.0 (0 reviews)
1.2
1.2
User Avatar
A proposal has not yet been provided
$15 USD in 0 day
0.0 (0 reviews)
0.0
0.0
User Avatar
A proposal has not yet been provided
$10 USD in 1 day
0.0 (0 reviews)
0.0
0.0
User Avatar
Hi I am a electronics student here at IIT, Khargapur. Studying at the best college of electronics In India. Since I am a 4th year student a have recently gone through all the details of the concept which your project might require. And also since now a days I am free so I can work as much as 15-20hours/week. Since I am in college so I also have the knowledge of most the software's which are required for project work and I have unlimited access to these software's. Recently I also developed a product for a MNC using c/c++ and verilog so I have a very good understanding of it. So please let me do your project and I will never let you down.
$10 USD in 0 day
0.0 (0 reviews)
0.0
0.0

About the client

Flag of OMAN
Mutrah, Oman
4.9
359
Payment method verified
Member since Nov 17, 2009

Client Verification

Thanks! We’ve emailed you a link to claim your free credit.
Something went wrong while sending your email. Please try again.
Registered Users Total Jobs Posted
Freelancer ® is a registered Trademark of Freelancer Technology Pty Limited (ACN 142 189 759)
Copyright © 2024 Freelancer Technology Pty Limited (ACN 142 189 759)
Loading preview
Permission granted for Geolocation.
Your login session has expired and you have been logged out. Please log in again.