Cyclon vhdl project jobs

Filter

My recent searches
Filter by:
Budget
to
to
to
Type
Skills
Languages
    Job State
    2,000 cyclon vhdl project jobs found, pricing in USD

    Hello, I have a cryptography (Blowfish ) code in PHP I want you to convert it into the code in VHDL. I want you to describe its simulation as complete as possible.

    $186 (Avg Bid)
    $186 Avg Bid
    5 bids

    design a structural 16-bit floating point adder and integrate it with error-injection model(VHDL)

    $250 (Avg Bid)
    $250 Avg Bid
    1 bids

    design fpga by write c++ code and VHDL code for fast fourier transform algorithm with best optimization and parallelization

    $105 (Avg Bid)
    $105 Avg Bid
    2 bids

    Hi Ahmed, we have an immediate need for debugging codes written in VHDL for FPGA device. Please contact us.

    $150 (Avg Bid)
    $150 Avg Bid
    1 bids

    Need someone who is familiar with VHDL software written for FPGA devices. There is a bug in the software that was written that has to be debugged.

    $238 (Avg Bid)
    $238 Avg Bid
    6 bids

    The task is to debug and simulate some simple code in VHDL by using QuestaSim.

    $94 (Avg Bid)
    $94 Avg Bid
    14 bids

    Systems and Software projects Technical Responsible Real Time embedded SW development and validation for space microprocessors: Low level SW integration within microprocessors / FPGA solutions Hardware dependent software, communication drivers and protocols Real time multithread applications Integration of VHDL modules for Real Time requirements (VHDL & SW) SW Systems modeling and validationRequirements: Education:Bachelor’s Degree in Computer Engineering, Computer Science, Telecommunication Engineer or other similar Technical discipline. Advanced degree or an equivalent combination of education and experience a plus. Required Experience/Skills: Three (3) or more years of experience in Real Time software development in C/C++. Strong knowledge of embedded real time s...

    N/A
    N/A
    0 bids

    i need a 8x8 DCT and IDCT designed in VHDL

    $61 (Avg Bid)
    $61 Avg Bid
    6 bids

    ...shall be designed for a Kintex UltraScale Devboard; The main functionality of this system is a pattern generator and an acquisition system. The System shall be controlled from Matlab through an API running simple commands/functions communicating with the FPGA through UART and Ethernet (full support in both). The outcome of the project shall be an environment that is easy to maintain and develop further. The FPGA shall implement an infrastructure consisting of JTAG, Timers, DDR, UART, Ethernet, BRAM, Registers, Microblaze etc. The AXI Ethernet and DMA from Xilinx shall be used in the design, see i.e. page 70 in: Host Computer

    $705 (Avg Bid)
    $705 Avg Bid
    5 bids

    Design a 16-bit floating adder in VHDL. Modelsim for simulation and quartus for synthesis

    $155 (Avg Bid)
    $155 Avg Bid
    1 bids

    I need to implement a program about Rijndael AES for High Throughput using 128 bit. Identification of critical design parameters, the finalised partitioning system design together with Register Transfer Level (RTL) VHDL description of the critical modules. I need to implement full functionable system of this including all parts of Rijndael AES - Subbytes, Shift rows, Mix columns and Add round key price is still negotiable up to 50GBP. bonus will be awarded to efficiency

    $74 (Avg Bid)
    $74 Avg Bid
    6 bids

    Hello, I need to design a PWM adjustable frequency and duty cycle using FPGA. I'm using the VHDL language and Xilinx ISE 11.

    $3 / hr (Avg Bid)
    $3 / hr Avg Bid
    2 bids

    you are required to develop and test a simple microprocessor using VHDL

    $323 (Avg Bid)
    $323 Avg Bid
    14 bids

    The project must meet certain requirements. Firstly the project (VHDL design and VHDL testbench must be free of syntax errors. The VHDL project must synthesise with no problems, such as non-synthesisable code, latch inferred and multi-driver. Must show correct results from behavioural simulation and post-route simulation, in which the post-route delay can be observed. Must have the best coding quality with effective hardware resource consumed and efficient processing speed achieved. Must include notes on each section or line indicating processes and stages of code and what they are used for and how they are used.

    $108 (Avg Bid)
    $108 Avg Bid
    2 bids

    I need some code written for a Papilio one 250K FPGA board. Code functionality is as follows Generate 3 waveforms inside the FPGA 50HZ sine wave 600HZ triangle waveform Inverse of the 600HZ triangle waveform Overlay and compare all waveforms When non inverted triangle waveform is less than Sine wave generate a logic high digital signal called “LP” When inverted triangle waveform is greater than Sine wave generate a logic high digital signal called “RP”

    $126 (Avg Bid)
    $126 Avg Bid
    9 bids

    I want to store some date in the ddr memory on zynq PS using axi connections and read the data back from memory.

    $423 (Avg Bid)
    $423 Avg Bid
    4 bids

    design a 4-bit floating point adder(specify number of flip flops used)

    $119 (Avg Bid)
    Urgent
    $119 Avg Bid
    5 bids

    Implementation of a sequence detector in VHDL with technical report. The sequence will be entered using push buttons, these buttons will need to be debounced at a clock cycle specified by the user. More details to be discussed upon bidding.

    $27 (Avg Bid)
    $27 Avg Bid
    6 bids

    Hello, we need simple VHDL coding for register/memory and buses for a simple processor. Codding and simulation in modelsim. I will send you documents after bid.

    $154 (Avg Bid)
    $154 Avg Bid
    7 bids

    I need to implement a FIR with 32 programmable coefficients in Vhdl. Should handle 4x inputs.

    $146 (Avg Bid)
    $146 Avg Bid
    21 bids

    I have a vhdl project involving sequence detection. if you are familiar with vhdl for altera boards then apply.

    $6 / hr (Avg Bid)
    $6 / hr Avg Bid
    13 bids

    Implement an image face detection detection algorithm in FPGA using VHDL/ Verilog and also writing a MATLAB code to implement the same.

    $206 (Avg Bid)
    $206 Avg Bid
    15 bids

    The project must meet certain requirements. Firstly the project (VHDL design and VHDL testbench must be free of syntax errors. The VHDL project must synthesise with no problems, such as non-synthesisable code, latch inferred and multi-driver. Must show correct results from behavioural simulation and post-route simulation, in which the post-route delay can be observed. Must have the best coding quality with effective hardware resource consumed and efficient processing speed achieved. Must include notes on each section or line indicating processes and stages of code and what they are used for and how they are used.

    $180 (Avg Bid)
    $180 Avg Bid
    14 bids

    This project is an optimization off the huffman compression , is the code the function "huffmanenco" should not be used so , the idea is o built a code that have a compression ratio better then the one using "huffmanenco" i already have the code with this function,what i want is an optimization of the huffman compresion code without using the function"huffmanenco"and that have a good compression ratio,this code should be written in matlab,then transfered to vhdl from matlab

    $237 (Avg Bid)
    $237 Avg Bid
    7 bids

    We have plan development for Avionics Test equipment. We are looking RF, Digital Process, C++ , VHDL Engineer. Especially, Radar engineer If you have Technics feel free to contact to me.

    $3988 (Avg Bid)
    $3988 Avg Bid
    10 bids

    need a VHDL code for, SPGA for pulse generation. 50Hz, 0.01s delay and 10% duty cycle pulse.

    $86 (Avg Bid)
    $86 Avg Bid
    11 bids

    I need two projects on VLSI design using verilog/vhdl language with complete coding and documentation.

    $124 (Avg Bid)
    $124 Avg Bid
    28 bids

    i have an fpga algorithm fully compatible for conversion to verilog. vhdl. i need some expert to define the acticture re assemble it and test it on fpga. i have some verilog implementation code for it too.

    $150 (Avg Bid)
    $150 Avg Bid
    1 bids

    In this project you have to apply a new methodology and improve the results from the base paper table 6,7,8,9 results(Get the paper from attachment ). You have to design the project at VHDL . FPGA kit will be same as the base paper . So you have to mention in project navigator software Spartan 6, XC6SLX16 device, CSG324 package (6slx16csg324-3).

    $91 (Avg Bid)
    $91 Avg Bid
    9 bids

    I have complete Project code, It successful compile but its not showing any output, showing zero-zero. Please bid only if you are expert in Verilog.

    $4 / hr (Avg Bid)
    $4 / hr Avg Bid
    28 bids

    VHDL: Decode DCF77-Receiver signal and implement a stop watch along with.

    $193 (Avg Bid)
    $193 Avg Bid
    12 bids

    it is described in the file below i need this done in an hour

    $61 (Avg Bid)
    $61 Avg Bid
    1 bids

    it is described in the file below i need this done in an hour

    $89 (Avg Bid)
    $89 Avg Bid
    9 bids

    Final outcome required : A system that takes images from a image sensor (OnSemi Python300) at 100FPS and stores them on a memory card and also runs Linux and is interactive through a touchscreen. As per my finding, it is best developed using ZYNQ. MAX10 FPGA with NIOSII is a...developed using ZYNQ. MAX10 FPGA with NIOSII is also a good choice. Current position: Avnet has made available the IP for interfacing the image sensor. So the bulk of work is done already. A designer is need who can take the IP and build the rest of the system. Relevant links will be shared during discussions. Since the most difficult part (the image sensor interfacing) is done already, this project shouldn't take much more than a week or so. Hence please quote your prices accordingly. Milestone pay...

    $210 (Avg Bid)
    $210 Avg Bid
    3 bids

    I need some help to write a very simple code in VHDL. This work includes: combinacional circuits, state machine, gray code and flip flops.

    $15 (Avg Bid)
    $15 Avg Bid
    13 bids

    writing algorithm in vhdl code with simulation

    $27 (Avg Bid)
    $27 Avg Bid
    14 bids

    ...this carefully, different items are required for a research paper review versus a project):rnrnIntroductionrnrno Provide an introduction to the topic of your project/research paper.rno Provide background information about the main concepts of your project/research paper.rn--This will include background about testing concepts as well as the application rnwhich your project/research paper examinesrno Explain the importance (related to VLSI testing) of your project/research paper and how it complements what we have discussed in class.rnrnBodyrnrno For a project:rn-- Summarize each step of your procedure in completing your project (e.g. What was the design process for your project?, What revisions to yo...

    $56 (Avg Bid)
    $56 Avg Bid
    7 bids
    $40 Avg Bid
    1 bids

    I have 4 tasks related to Digital logic design. I need help with them. Please bid if you know VHDL.

    $73 (Avg Bid)
    $73 Avg Bid
    20 bids

    rnfollowing blocks need to be implemented IN VHDL with their test bench - read_iq, demod, decimating FIR, Deemph, qarctan rnrnthe module should be synthesizable and implemented with FIFO rnrnwith following conditions -rnrnC macros should be implemented as inlined VHDL functionsrnUse the same quantization bit width as in the software.rnCreate a package with the array of filter coefficients and use generics to configure the filter taps and coefficients.rnHigh-level for-loops can be eliminated and replaced with streaming FIFOsrnUnroll internal function loops completely where applicable, such as shift registersrnApply one or more optimizations, such as loop unrolling or pipelining where applicablernImplement the division algorithm discussed in class for...

    $451 (Avg Bid)
    $451 Avg Bid
    3 bids

    to make a lowest common multiple design based on the c++ code given to me. Must also complete the ASM chart and datapath

    $138 (Avg Bid)
    $138 Avg Bid
    7 bids

    In this assignment, you will be building a streaming FM Stereo Radio. rnrnUsing the attached C software model, you will need to implement each of the function blocks in VHDL. Compile the software and run the input USRP data to see how the FM radio works. If you're on a Mac, you may need to adjust the header files and/or the soundcard interface. Use the "whereis" command to locate the headers. I recommend using visual studio to compare the inputs/outputs of each functional unit.rnrnIn your design, you should employ the following strategies:rnrnC macros should be implemented as inlined VHDL functionsrnUse the same quantization bit width as in the software.rnCreate a package with the array of filter coefficients and use generics to configure the...

    $39 / hr (Avg Bid)
    Urgent
    $39 / hr Avg Bid
    3 bids

    16x16 image to DCT store in a RAM/ROM and do IDCT to get back original 16x16 image.

    $12 / hr (Avg Bid)
    $12 / hr Avg Bid
    8 bids

    I need help in Analogue and Digital Electronics which involves vhdl as well. i will share details later. Thanks Its simple project. happy bidding..

    $6 / hr (Avg Bid)
    $6 / hr Avg Bid
    13 bids

    Hi, I am looking for someone with experience in verilog/VHDL programming or with Electronics background to modify some code. Details and files will be given in chat in what needs to be done in the code.

    $24 (Avg Bid)
    $24 Avg Bid
    5 bids

    The objective of this lab is to implement, in VHDL, an FSM+D single purpose processor that will evaluate the factorial of n. Copy the code and simulation image on a word document. The details of the project are in the attached word document

    $50 (Avg Bid)
    $50 Avg Bid
    1 bids

    The objective of this lab is to implement, in VHDL, an FSM+D single purpose processor that will evaluate the factorial of n. Copy the code and simulation image on a word document. The details of the project are in the attached word document

    $44 (Avg Bid)
    $44 Avg Bid
    1 bids

    Knowledge on MULTIPLEXERS, Concurrent behavioral VHDL description of a SN74F153, IEEE. Need to answer three questions.

    $22 (Avg Bid)
    $22 Avg Bid
    6 bids

    Assignment on VHDL design. I have Some problems . I think 12 problems and I need solutions to those problems and I can give u 2 days.

    $123 (Avg Bid)
    $123 Avg Bid
    24 bids

    Dear sir/maam, I have a job experience in VLSI design ,i am good in VHDL,VERILOG

    $15 (Avg Bid)
    $15 Avg Bid
    1 bids